Computer Sciences Dept.

Mark D. Hill

Gene M. Amdahl & John P. Morgridge
Professor Emeritus of Computer Sciences

2016 Photo of Mark D. Hill

IEEE Micro Top Picks

See also All Publications & Talks.

The following papers have appeared in IEEE Micro Top Picks in Computer Architecture.

  1. Agile Paging for Efficient Memory Virtualization,
    Jayneel Gandhi, Mark D. Hill, Michael M. Swift
    IEEE Micro Special Issue: Micro's Top Picks from Architecture Conferences, May/June 2017.
    Paper: pdf
    (Shorter, award version of ISCA 2016 Paper)

  2. Range Translations for Fast Virtual Memory,
    Jayneel Gandhi, Vasileios Karakostas, Furkan Ayar, Adrian Cristal, Mark D. Hill, Kathryn S. McKinley, Mario Nemirovsky, Michael M. Swift, Osman Unsal
    IEEE Micro Special Issue: Micro's Top Picks from Architecture Conferences, May/June 2016.
    Local Copy: pdf
    (Shorter, award version of ISCA 2015 Paper)

  3. Supporting Very Large DRAM Caches with Compound Access Scheduling and MissMap,
    Gabriel H. Loh and Mark D. Hill
    IEEE Micro Special Issue: Micro's Top Picks from Architecture Conferences, May/June 2012.
    44th International Symposium on Microarchitecture (MICRO), Local copy: pdf
    Addendum: pdf
    (Shorter, award version of Micro 2011 Paper)

  4. Performance Pathologies in Hardware Transactional Memory,
    Jayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, and David A. Wood
    IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences, January-February 2008.
    (Shorter, award version of ISCA 2007 Paper)

  5. Virtual Hierarchies,
    Michael R. Marty and Mark D. Hill,
    IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences, January-February 2008.
    Local copy: pdf
    (Shorter, award version of ISCA 2007 Paper)

  6. A Hardware Memory Race Recorder for Deterministic Replay,
    Min Xu, Rastislav Bodik, and Mark D. Hill,
    IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences, January-February 2007.
    (Summarizes ``Flight Data Recorder'' work from ISCA 2003 and ASPLOS 2006 papers).
    Local copy: pdf
    Talk: ppt (based on Xu's 2006 Ph.D. Defense)

  7. Interaction Cost: For When Event Counts Just Don't Add Up,
    Brian A. Fields, Rastislav Bodik, Mark D. Hill, and Chris J. Newburn,
    IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences, November-December 2004.
    Local copy: pdf
    Expanded TACO 2004 Journal Version

  8. Token Coherence: A New Framework for Shared-Memory Multiprocessors,
    Milo M.K. Martin, Mark D. Hill and David A. Wood,
    IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences, November-December 2003.
    Local copy: pdf
    Original ISCA 2003 Paper: pdf
    Token Coherence Bibliography: html

 
Computer Sciences | UW Home