Mark D. Hill
Gene M. Amdahl & John P. Morgridge
Professor Emeritus of Computer Sciences
|
|
Publications & Talks
Go to Year:
-
2024,
2023,
2022,
2021,
2020.
-
2019,
2018,
2017,
2016,
2015,
2014,
2013,
2012,
2011,
2010.
-
2009,
2008,
2007,
2006,
2005,
2004,
2003,
2002,
2001,
2000.
-
1999,
1998,
1997,
1996,
1995,
1994,
1993,
1992,
1991,
1990.
-
1989,
1988,
1987 (Ph.D. Thesis),
1986,
1985,
1984,
1983.
See also:
Simple,
Most Cited,
Top Picks, and
Google Scholar Page.
For online papers and pages not published in hardcopy venues,
please see Web-Only Pubs.
For all online papers from projects Hill has co-led--including
papers not co-authored by Hill--please see:
-
In Computer Architecture, We Don't Change the Questions, We Change the Answers,
Mark D. Hill
Seven Locations in China, October 2024.
Slides: pdf
University of Wisconsin-Madison, Madison, WI, September 2023.
Slides: pdf
Keynote at Database Community Five-Year Meeting, MIT, Cambridge, MA October 2023.
Slides: pdf
University of Texas-Austin, March 2022.
Slides: pdf
Semiconductor Big Ideas Conference - New Street Research, September 2021.
Slides: pptx and
pdf
Recording: mp4 (20 minutes)
ISCA Panel (Short Version) Research Methodology in the New Era of Computer Architecture, June 2021.
Slides: pptx and
pdf
Stanford SystemX Workshop, June 2021.
Slides: pptx and
pdf
-
Hardware Support for Cloud Database Systems in the Post-Moore's Law Era (Dagstuhl Seminar 24162),
David F. Bacon, Carsten Binnig, David Patterson, and Margo Seltzer, and all authors of the abstracts in this report,
In Dagstuhl Reports, Volume 14, Issue 4, pp. 54-84, Schloss Dagstuhl – Leibniz-Zentrum für Informatik (2024).
Report:
pdf
-
Managing Memory Tiers with CXL in Virtualized Environments,
Yuhong Zhong, Daniel S. Berger, Carl Waldspurger, Ryan Wee,Ishwar Agarwal, Rajat Agarwal, Frank Hady, Karthik Kumar, Mark D. Hill, Mosharaf Chowdhury, Asaf Cidon,
Proc.18th USENIX Symposium on Operating Systems Design and Implementation (OSDI), July 2024
Talk: pptx and
pdf
- Design Tradeoffs in CXL-Based Memory Pools for Public Cloud Platforms
Daniel S. Berger, Daniel Ernst, Huaicheng Li, Pantea Zardoshti, Monish Shah, Samir Rajadnya, Scott Lee, Lisa Hsu, Ishwar Agarwal, Mark D. Hill, Ricardo Bianchinii,
IEEE Micro,
DOI: 10.1109/MM.2023.3241586, March/April 2023.
Local copy: PDF
-
A Retrospective on:
A “Flight Data Recorder” for Enabling Full-system Multiprocessor Deterministic Replay,
Min Xu, Rastislav Bodik, Mark D. Hill,
In ISCA@50 Retrospective: 1996-2020.
Edited by José F. Martínez and Lizy K. John,
June 2023
-
Driving Innovation in Academia and Industry,
Mark D. Hill
Keynote at Computing Research Association-Industry (CRA-I)
Workshop on Computing Research in Industry at
ACM Federated Computing Research Conference (FCRC), June 2023.
Slides: pdf
-
Pond: CXL-Based Memory Pooling Systems for Cloud Platforms,
Huaicheng Li, Daniel S. Berger, Stanko Novakovic, Lisa Hsu, Dan Ernst, Pantea Zardoshti, Monish Shah, Samir Rajadnya, Scott Lee, Ishwar Agarwal, Mark D. Hill, Marcus Fontoura, and Ricardo Bianchini,
28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. Selected Distinguished Paper among less than 10% of papers.
Talk: pptx and
pdf
arXiv https://arxiv.org/abs/2203.00241 (near final version),
March 2023.
- First-generation Memory Disaggregation for Cloud Platforms
Huaicheng Li, Daniel S. Berger, Stanko Novakovic, Lisa Hsu, Dan Ernst, Pantea Zardoshti, Monish Shah, Ishwar Agarwal, Mark D. Hill, Marcus Fontoura, and Ricardo Bianchini
arXiv https://arxiv.org/abs/2203.00241,
March 2022.
- Accelerator-Level Parallelism
Mark D. Hill and Vijay Janapa Reddi
Communications of ACM (CACM),
December 2021.
- A Vision to Computer Like Nature: Thermodynamically
Todd Hylton, Thomas M. Conte, and Mark D. Hill,
Communications of ACM (CACM),
June 2021.
-
A National Discovery Cloud: Preparing the US for Global Competitiveness in the New Era of 21st Century Digital Transformation,
Ian Foster, Daniel Lopresti, Bill Gropp, Mark D. Hill, and Katie Schuman,
Computing Community Consortium (CCC) White Paper, April 14, 2021
URL: https://cra.org/ccc/wp-content/uploads/sites/2/2021/04/CCC-Whitepaper-National-Discovery-Cloud-2021.pdf
-
Advancing Computing's Foundation of US Industry & Society,
Thomas M. Conte, Ian T. Foster, William Gropp, and Mark D. Hill,
Computing Community Consortium (CCC) Quadrennial Paper, October 29, 2020
URL: https://cra.org/ccc/wp-content/uploads/sites/2/2020/10/Advancing-Computings-Foundation-of-US-Industry-Society-Moores-Law-2.pdf
All CRA/CCC 2020 Quadrennial Papers,
-
How Computing May Change Our World
(A Talk for Non-Computer Scientists),
Mark D. Hill
(More Polished) Virtually @ Participatory Learning And Teaching Organization (PLATO), September 2020.
Talk Slides: pptx and
pdf
Video Recording (50 minutes + Q&A): YouTube
(First Offering ) Virtually @ Computing Research Association (CRA), September 2020.
Talk Slides: pptx and
pdf
Recording (40 minutes): YouTube
-
Academic-Industrial Synergy: Stories, Pitfalls, & Advice,
Mark D. Hill
Keynote @ Google Computer Architecture & Deep Learning Workshop, August 2020.
Talk Slides: pptx and
pdf
Accelerator-level Parallelism
Mark D. Hill and Vijay Janapa Reddi,
Most-recent Talk Slides (6/2020):
PPTX and
PDF
Technion Talk 41-Minute Video (6/2020):
Youtube or
Panoptotech
First Talk Slides (3/2019): PPTX &
PDF
CACM Viewpoint (12/2021): Accelerator-Level Parallelism
SIGARCH Blog (9/2019): Accelerator-Level Parallelism (ALP)
ArXiv (8/2020): html/pdf
Supplementary Material: Gables Home Page
- Why 'Correct' Computers Can Leak Your Information
Mark D. Hill,
Communications of ACM (CACM),
DOI:10.1145/3399740, July 2020.
Local copy: PDF
A one-page Technical Perspective introduction to
a Research Highlights article by the original Spectre authors.
-
CRA Industry/Academia Committee Report,
Vivek Sarkar, Nancy Amato, Susan Davidson, Eric de Sturler, David Ebert,
Mark D. Hill, Charles Isbell, Shwetak Patel, Chris Ramming,
Divesh Srivastava, Marvin Theimer, Benjamin Zorn,
Computing Research Association, May 29, 2020
URL: https://cra.org/wp-content/uploads/2020/07/CRA-Industry_Academia-Committee-Report.pdf
Blog Post & Talk (8/2020): html
-
Adaptive computer cache architecture,
Jason Lowe-Power, David A. Wood, and Mark D. Hill,
United States Patent 10,713,165, issued July 14, 2020
- MOD: Minimally Ordered Durable Datastructures for Persistent Memory
Swapnil Haria, Mark D. Hill, Michael M. Swift
25th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2020).
Local copy: pdf
Full Talk: pptx, pdf
-
Ph.D. Student Advice from a 1980s Ph.D. Student,
Mark D. Hill
The Young Architect Workshop (YArch) (for new grad students), delivered virtually in March 2020.
Talk Slides: pptx
Talk Video: YouTube
-
Mentoring and Managing Graduate Students,
Mark D. Hill
CRA Mentoring Workshop (for new faculty), February 2020.
Talk Slides: pptx
- A Primer on Memory Consistency and Cache Coherence, 2nd Edition,
Vijay Nagarajan, Daniel J. Sorin, Mark D. Hill, and David A. Wood,
Synthesis Lectures in Computer Architecture, Morgan & Claypool Publishers, February 2020.
Open-access: DOI (FREE to you!)
Synthesis Lectures in Computer Architecture Home Page:
html
-
Gene Myron Amdahl, 1922-2015,
Mark D. Hill
National Academy of Engineering (NAE) Memorial Tributes, vol. 22, 2019, pp 9-12 .
Online Chapter pdf
-
Reflections and Research Advice Upon Receiving the 2019 Eckert-Mauchly Award,
Mark D. Hill
IEEE Micro, September/October 2019.
Paper: pdf
Abridged SIGARCH Blog: html
Abridged Communication of the China Computer Federation in Chinese: html
-
Eckert-Mauchly Award 2019,
Mark D. Hill
Talk at ISCA Award Luncheon,
June 2019.
Award: html
Slides: pptx and pdf (27MB each)
Audio: m4a (16MB)
Video for most of 30-minute talk:
YouTube (recommended) or
mp4 (backup)
Video after gap for 2-minutes thank yous:
YouTube (recommended) or
mp4 (backup)
Word Clouds of
coauthors png and
title keywords png
See also IEEE Micro paper: PDF
-
Don't Persist All : Efficient Persistent Data Structures,
Pratyush Mahapatra, Mark D. Hill, Michael M. Swift,
May 2019.
ArXiv: html/pdf
- On the Spectre and Meltdown Processor Security Vulnerabilities
Mark D. Hill, Jon Masters, Parthasarathy Ranganathan, Paul Turner, and John Hennessy,
IEEE Micro Special Issue on Hot Chips 2018,
DOI 10.1109/MM.2019.2897677, March/April 2019.
Local copy: PDF
See 2018 for slides and video of Hot Chips Keynote/Panel.
-
Gables: A Roofline Model for Mobile SoCs
Mark D. Hill and Vijay Janapa Reddi
The 25th IEEE International Symposium On High Performance Computer Architecture (HPCA-2019)
Local copy: pdf
Talk: pptx &
pdf
Expanded ISPASS FASTPATH Talk: pptx &
pdf
Supplementary Material: Gables Home Page
-
Three Other Models of Computer System Performance,
Mark D. Hill,
December 2018.
ArXiv: html/pdf
Two blog posts: html
and html
-
Spectre/Meltdown,
John Hennessy, Paul Turner, Jon Masters, and Mark D. Hill,
Keynote/Panel at the Hot Chips, August 2018
June 2018.
Hill Slides: pptx
All Panel Slides: pdf
Panel Video: Youtube
Follow-on IEEE Micro paper: PDF
-
On the Implications of the Meltdown & Spectre Design Flaws,
Mark D. Hill, Paul Kocher, Ruby B. Lee, Simha Sethumadhavan, and Timothy Sherwood,
Panel at the 45th International Symposium on Computer Architecture (ISCA'16).
June 2018.
Panel Slides: pptx
Panel Info: html
- Devirtualizing Memory for Heterogeneous Systems
Swapnil Haria, Mark D. Hill, Michael M. Swift
23nd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2018).
Local copy: pdf
Lightning Talk: pdf
Full Talk: pptx
-
On the Meltdown & Spectre Design Flaws
Mark D. Hill
Technical Talk, Google, February 2018.
Talk: pptx
Talk: pdf
CCC Blog: html
Revised Technical Talk, Uppsala University, November 2018.
Talk: pptx
Talk: pdf
-
DRAM cache with tags and data jointly stored in physical rows,
Gabriel H. Loh and Mark D. Hill,
United States Patent 9,753,858, issued September 5, 2017
-
Democratizing Design for Future Computing Platforms,
Luis Ceze, Mark D. Hill, Karthikeyan Sankaralingam, Thomas F. Wenisch,
Computing Community Consortium, Jun 26, 2017.
arVix: https://arxiv.org/abs/1706.08597
Local copy: pdf
-
Advanced Cyberinfrastructure for Science, Engineering, and Public Policy,
Vasant G. Honavar, Katherine Yelick, Klara Nahrstedt, Holly Rushmeier, Jennifer Rexford,
Mark D. Hill, Elizabeth Bradley, and Elizabeth Mynatt,
Computing Community Consortium, Jun 30, 2017.
arVix: https://arxiv.org/abs/1707.00599
Local copy: pdf
-
Retrospective on Amdahl's Law in the Multicore Era,
Mark D. Hill and Michael R. Marty,
IEEE Computer, July 2017.
Local copy: pdf
Original 2008 paper: pdf
Selected as one of seven influential papers from the first 50 years of Computer.
See others: https://www.computer.org/computer-magazine/from-the-archives-computers-legacy/
-
Nanotechnology-inspired Information Processing Systems of the Future,
Randy Bryant, Mark Hill, Tom Kazior, Daniel Lee, Jie Liu, Klara Nahrstedt, Vijay Narayanan,
Jan Rabaey, Hava Siegelmann, Naresh Shanbhag, Naveen Verma, H.-S. Philip Wong,
Computing Community Consortium, released Feb 13, 2017.
-
Agile Paging for Efficient Memory Virtualization,
Jayneel Gandhi, Mark D. Hill, Michael M. Swift
IEEE Micro Special Issue: Micro's Top Picks from Architecture Conferences, May/June 2017.
Paper: pdf
(Shorter, award version of ISCA 2016 Paper)
-
Crossing Guard: Mediating Host-Accelerator Coherence Interactions
Lena E. Olson, Mark D. Hill, and David A. Wood
22nd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2017).
Local copy: pdf
Lightning Talk: pdf
Full Talk: pptx pdf
Supplemental material: Transition Tables and Code
-
An Analysis of Persistent Memory Use with WHISPER
Sanketh Nalli, Swapnil Haria, Mark D. Hill, Michael M. Swift, Haris Volos, Kimberly Keeton
22nd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2017).
Selected for one of nine IEEE Micro Top Picks 2018 Honorable Mentions
Local copy: pdf
Website: WHISPER Benchmark Suite
NVM Workshop 2017 talk on WHISPER: pptx
NVM Workshop 2017 talk on HOPS: pptx
ASPLOS Main Presentation: pptx
ASPLOS Lightning Talk: pdf
-
Efficient memory management system for computers supporting virtual machines,
Jayneel Gandhi, Mark D. Hill, Michael M. Swift,
United States Patent 9,619,401 issued Apr 11, 2017
-
I/O memory management unit providing self invalidated mapping,
Arkaprava Basu, Mark D. Hill, Michael M. Swift,
United States Patent 9,954,603, issued Jan 17, 2017
-
-
Arch2030: A Vision of Computer Architecture Research over the Next 15 Years,
Luis Ceze, Mark D. Hill, Thomas F. Wenisch,
Computing Community Consortium, Dec 9, 2016.
arVIX: http://arxiv.org/abs/1612.03182
Local copy: pdf
Also appears in Communications of the China Computer Federation (CCCF), Volume 13, Issue 7, July 2017, translated into Chinese by State Key Laboratory of Computer Architecture: pdf
Outbrief (3/2017): pptx
-
Computer Architecture 1975-2025,
Mark D. Hill
Distinguished Lecture at Johns Hopkins University.
Combines a review of 20th century computer architecture and
21st Century Computer Architecture [CCC 2012]
November 2016
Talk: pptx
-
Proprietary versus Open Instruction Sets,
Mark D. Hill, Dave Christie, David Patterson, Joshua J. Yi, Derek Chiou, Resit Sendag
IEEE Micro, July/August 2016.
Local copy: pdf
-
Range Translations for Fast Virtual Memory,
Jayneel Gandhi, Vasileios Karakostas, Furkan Ayar, Adrian Cristal, Mark D. Hill, Kathryn S. McKinley, Mario Nemirovsky, Michael M. Swift, Osman Unsal
IEEE Micro Special Issue: Micro's Top Picks from Architecture Conferences, May/June 2016.
Local Copy: pdf
(Shorter, award version of ISCA 2015 Paper)
- Agile Paging: Exceeding the Best of Nested and Shadow Paging
Jayneel Gandhi, Mark D. Hill, Michael M. Swift
The 43rd International Symposium on Computer Architecture (ISCA'16), 2016.
Local copy: pdf
Presentation: pptx
Lightning Talk: pdf
-
Programming Heterogeneous Computers and Improving Inter-Node Communication Across Xeon Phis
Chris Feilbach, Adam Sperling, Eftychios Sifakis, Mark D. Hill
Univ. of Wisconsin Computer Sciences Technical Report CS-TR-2016-1834,
May 2016.
Local copy: pdf
- Remember Memory?,
Mark D. Hill
Talk: 40 Years of Patterson Symposium,
May 2016.
Talk: pptx
Video: YouTube (at 2:39 in 9-hour video)
-
Method for memory consistency among heterogeneous computer components,
Derek R. Hower, Mark D. Hill, David Wood, Steven K. Reinhardt, Benedict R. Gaster, Blake A. Hechtman, Bradford M. Beckmann,
United States Patent 9,361,118, issued May 12, 2016
-
Methods and apparatus for soft-partitioning of a data cache for stack data,
Lena E. Olson, Yasuko Eckert, Vikas K. Sridharan, James M. O'Connor, Mark D. Hill, Srilatha Manne,
United States Patent 9,298,615, issued March 29, 2016
-
When to use 3D Die-Stacked Memory for Bandwidth-Constrained Big-Data Workloads
Jason Lowe-Power, Mark D. Hill, David A. Wood
The Seventh Workshop on Big Data Benchmarks, Performance Optimization, and Emerging Hardware (BPOE 7) at ASPLOS, April 2016
Local copy: pdf
Talk: pdf Google Drive
Data: link
-
Probabilistic Directed Writebacks for Exclusive Caches
Lena E. Olson, Mark D. Hill
Univ. of Wisconsin Computer Sciences Technical Report CS-TR-2016-1831,
February 2016.
Local copy: pdf
-
Accelerating Science: A Computing Research Agenda,
Vasant G. Honavar, Mark D. Hill, and Katherine Yelick,
Computing Community Consortium, Feb 19, 2016.
URL: http://cra.org/ccc/wp-content/uploads/sites/2/2016/02/Accelerating-Science-Whitepaper-CCC-Final2.pdf
Local copy: pdf
-
Energy-Efficient Address Translation
Vasileios Karakostas, Jayneel Gandhi, Adrian Cristal, Mark D. Hill, Kathryn S. McKinley, Mario Nemirovsky, Michael M. Swift, Osman Unsal
The 22nd IEEE International Symposium On High Performance Computer Architecture (HPCA-2016)
Local copy: pdf
Presentation: pptx
-
Border Control: Sandboxing Accelerators
Lena E. Olson, Jason Power, Mark D. Hill, and David A. Wood
The 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-48), 2015.
Local copy: pdf
Talk: pptx, pdf
- Whither Acoherent Shared Memory?,
Mark D. Hill
Workshop on Negative Outcomes Post-mortems, and Experiences (NOPE),
held in conjunction with MICRO,
December 2015.
Talk: pptx
-
Opportunities and Challenges for Next Generation Computing,
Gregory D. Hager, Mark D. Hill, and Katherine Yelick,
Computing Community Consortium, October 19, 2015.
URL: http://cra.org/ccc/wp-content/uploads/sites/2/2015/10/NextGenComputingChallenges.pdf
Local copy: pdf
-
Virtual memory management system with reduced latency,
Arkaprava Basu, Mark D. Hill, and Michael M. Swift
United States Patent 9,158,704, issued October 13, 2015.
-
Security Implications of Third-Party Accelerators
Lena E. Olson, Simha Sethumadhavan, Mark D. Hill
Computer Architecture Letters, 15 June 2015
Local copy: pdf
Redundant Memory Mappings for Fast Access to Large MemoriesVasileios Karakostas, Jayneel Gandhi, Furkan Ayar, Adrián Cristal, Mark D. Hill, Kathryn S. McKinley, Mario Nemirovsky, Michael M. Swift, Osman ÜnsalISCA '15 Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Local copy: pdf
Presentation: pptx
Lightning Talk: pdf
-
Toward GPUs being mainstream in analytic processing: An initial argument using simple scan-aggregate queries
Jason Power, Yinan Li, Mark D. Hill, Jignesh M. Patel, David A. Wood
Proceedings of the Eleventh International Workshop on Data Management on New Hardware (DaMoN '15)
Talk: pdf pptx
Local copy: pdf
-
Implications of Emerging 3D GPU Architecture on the Scan Primitive
Jason Power, Yinan Li, Mark D. Hill, Jignesh M. Patel, David A. Wood
SIGMOD Rec. Volume 44, Issue 1 (April 2015)
Local copy: pdf
- Synchronization Using Remote-Scope Promotion
Marc S. Orr, Shuai Che, Ayse Yilmazer, Bradford M. Beckmann, Mark D. Hill, David A. Wood
The 20th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-20), 2015
Local copy: pdf
Talk: pptx
-
System and method for cache organization in row-based memories,
Gabriel H. Loh and Mark D. Hill,
United States Patent 8,954,672, issued February 10, 2015.
- Efficient Memory Virtualization: Reducing Dimensionality of Nested Page Walks
Jayneel Gandhi, Arkaprava Basu, Mark D. Hill, Michael M. Swift
The 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-47), 2014.
IEEE Micro Top Picks Honorable Mention.
Local copy (slightly updated): pdf
Poster: pdf
Presentation: pptx
Lightning Talk: pdf
-
Revisiting Stack Caches for Energy Efficiency
Lena E. Olson, Yasuko Eckert, Srilatha Manne, Mark D. Hill
Univ. of Wisconsin Computer Sciences Technical Report CS-TR-2014-1813,
December 2014.
Local copy: pdf
-
Hardware filter for tracking block presence in large caches,
Gabriel H. Loh and Mark D. Hill,
United States Patent 8,868,843 issued October 21, 2014.
BadgerTrap: a tool to instrument x86-64 TLB missesJayneel Gandhi, Arkaprava Basu, Mark D. Hill, Michael M. SwiftACM SIGARCH Computer Architecture News, 2014
Local copy: pdf
-
Heterogeneous-race-free Memory Models
Derek R. Hower, Blake A. Hechtman, Bradford M. Beckmann, Benedict R. Gaster, Mark D. Hill, Steven K. Reinhardt, David A. Wood
The 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-19), 2014
Local copy (slightly updated): pdf
Talk (slightly updated): pptx
-
21st Century Computer Architecture,
Mark D. Hill
Opening Keynote for
IEEE International Symposium On High Performance Computer Architecture (HPCA),
ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP), and
International Symposium on Code Generation and Optimization (CGO).
February 2014
Talk: pptx
This talk extends and expands work from
21st Century Computer Architecture [CCC 2012]
and Efficient Virtual Memory for Big Memory Servers [ISCA 2013].
-
Preparing for the next 50 years,
UW-Madison Computer Sciences, 2014
- gem5-gpu: A Heterogeneous CPU-GPU Simulator
Jason Power, Joel Hestness, Marc S. Orr, Mark D. Hill, David A. Wood
Computer Architecture Letters. vol 13, no. 1. Jan-June 2014.
Local copy: pdf
Web Site: html
-
Supporting x86-64 Address Translation for 100s of GPU Lanes
Jason Power, Mark D. Hill, David A. Wood
The 20th IEEE International Symposium On High Performance Computer Architecture (HPCA-2014)
Local copy with appendix: pdf
Talk: pptx
Data: link
-
QuickRelease: A Throughput-oriented Approach to Release Consistency on GPUs
Blake A. Hechtman, Shuai Che, Derek R. Hower, Yingying Tian, Bradford M. Beckmann, Mark D. Hill, Steven K. Reinhardt, and David A. Wood
The 20th IEEE International Symposium On High Performance Computer Architecture (HPCA-2014)
Local copy: pdf
Talk: pptx
-
Heterogeneous System Coherence for Integrated CPU-GPU Systems
Jason Power, Arkaprava Basu, Junli Gu, Sooraj Puthoor, Bradford M. Beckmann, Mark D. Hill, Steven K. Reinhardt, David A. Wood
The 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-46), 2013.
Local copy: pdf
Talk: pptx
Poster: pdf
-
FreshCache: Statically and Dynamically Exploiting Dataless Ways
Arkaprava Basu, Derek R. Hower, Mark D. Hill, Michael M. Swift
ICCD'13: To Appear in Proceedings of the International Conference on
Computer Design, 2013.
Local copy: pdf
Talk: pptx
-
Sequential Consistency for Heterogeneous-Race-Free:
Programmer-centric Memory Models for Heterogeneous Platforms,
Derek R. Hower, Bradford M. Beckmann, Benedict R. Gaster, Blake A. Hechtman,
Mark D. Hill, Steven K. Reinhardt, and David A. Wood,
Workshop on Memory Systems Performance and Correctness (MSPC)
June 2013
Talk: pdf
See expanded and extended version in ASPLOS 2014.
-
Efficient virtual memory for big memory serversArkaprava Basu, Jayneel Gandhi, Jichuan Chang, Mark D. Hill, Michael M. SwiftISCA '13 Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Local copy: pdf
Talk: pptx
-
CMP Directory Coherence: One Granularity Does Not Fit All,
Arkaprava Basu, Bradford M. Beckmann, Steven K. Reinhardt, Mark D. Hill
Univ. of Wisconsin Computer Sciences Technical Report CS-TR-2013-1798,
June 2013.
Local copy: pdf
-
Research Directions for 21st Century Computer Systems,
Panelists: Mark Hill (Moderator), Sarita Adve, Kathyrn McKinley, Josep Torrellas, and Emmett Witchel
International Conference on Architectural Support for
Programming Languages and Operating Systems (ASPLOS),
March 2013.
Panel Statement: pdf
Panel Slides: pptx
-
Non-broadcast Signature-based Transactional Memory,
David A. Wood, Mark D. Hill, Michael M. Swift, Michael R. Marty, Luke Yen, Kevin E. Moore, Jayaram Bobba, and Haris Volos
United States Patent 8,239,633 B2 issued August 7, 2012.
-
Why on-chip cache coherence is here to stayMilo M. K. Martin, Mark D. Hill, Daniel J. SorinCommunications of the ACM, 2012
Local copy: pdf
Duke University Department of ECE Technical Report TR-2011-1: pdf
Talk: pptx
-
21st Century Computer Architecture,
Mark D. Hill, Sarita Adve, Luis Ceze, Mary Jane Irwin, David Kaeli, Margaret Martonosi, Josep Torrellas, Thomas F. Wenisch, David Wood, Katherine Yelick,
Computing Community Consortium, May 25, 2012.
Local copy: pdf
Outbriefs : pptx and
pptx
Cited by and contributed to NSF XPS program at roughly $16M annually.
Chinese translation by State Key Laboratory of Computer Architecture (SKL-Carch) and republication:
Computing Community Consortium (CCC), 21st Century Computer Architecture, Communication of the China Computer Federation (CCF), 2012, Volume 8, Issue 12, p70-81.
Local copy of translation: pdf
-
Advancing Computer Systems without Technology Progress
,
Mark D. Hill and Christos Kozyrakis,
ISAT Outbrief, April 17-18, 2012, of DARPA/ISAT Workshop, March 26-27, 2012.
Cited by and contributed to
DARPA Electronics Resurgence Initiative, $75M in 2017 and projected to grow to $1.5B.
Talk: pdf
-
Reducing memory reference energy with opportunistic virtual cachingArkaprava Basu, Mark D. Hill, Michael M. SwiftISCA '12 Proceedings of the 39th Annual International Symposium on Computer Architecture, 2012
Local copy: pdf
Talk: pptx
-
Supporting Very Large DRAM Caches with Compound Access Scheduling and MissMap,
Gabriel H. Loh and Mark D. Hill
IEEE Micro Special Issue: Micro's Top Picks from Architecture Conferences, May/June 2012.
44th International Symposium on Microarchitecture (MICRO),
Local copy: pdf
Addendum: pdf
(Shorter, award version of Micro 2011 Paper)
-
Efficiently enabling conventional block sizes for very large die-stacked DRAM cachesGabriel H. Loh, Mark D. HillMICRO-44 '11 Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, 2011
Local copy: pdf
Talk: pptx
or pdf
Addendum: pdf
(Shorter, award version appears in Micro Top Picks May/June 2012)
-
The gem5 simulatorNathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, David A. WoodACM SIGARCH Computer Architecture News, 2011
Local copy: pdf
Web Site: http://gem5.org/
- A Primer on Memory Consistency and Cache Coherence
,
Daniel J. Sorin, Mark D. Hill, and David A. Wood,
Synthesis Lectures in Computer Architecture, Morgan & Claypool Publishers, May 2011.
This lecture is FREE to all -- no paywall.
Draft copy of front matter and introductory chapter: pdf
Synthesis Lectures in Computer Architecture Home Page:
html
-
Karma: scalable deterministic record-replayArkaprava Basu, Jayaram Bobba, Mark D. HillICS '11 Proceedings of the international conference on Supercomputing, 2011
Local copy: pdf
Talk: pptx
-
The Future of Computing Performance: Game Over or Next Level?,
The National Academy Press, 2011
Online Copy: pdf
Talk at Wisconsin (May 2011): pptx (many hidden slides)
- Calvin: Deterministic or Not? Free Will to Choose,
Derek R. Hower, Polina Dudnik, David A. Wood, and Mark D. Hill
17th International Symposium on High-Performance Computer Architecture (HPCA), Februrary 2011.
Local copy: pdf
Talk: pptx
- Safe and Efficient Supervised Memory Systems,
Jayaram Bobba, Marc Lupon, Mark D. Hill, and David A. Wood
17th International Symposium on High-Performance Computer Architecture (HPCA), Februrary 2011.
Local copy: pdf
Talk: pptx
-
Laying a New Foundation for IT: Computer Architecture for 2025 and Beyond,
Mark Oskin, Josep Torrellas,
et al.,
Report from Computing Community
Consortium (CCC)
2nd Workshop on Advancing Computer
Architecture Research (ACAR-2),
released 2011.
- StealthTest:
Low Overhead Online Software Testing using Transactional Memory,
Jayaram Bobba, Weiwei Xiong, Luke Yen, Mark D. Hill, and David A. Wood
Conference on Parallel Architectures and Compilation Techniques (PACT), Sep 2009.
Local copy: pdf
Talk: ppt
-
Two hardware-based approaches for deterministic multiprocessor replayDerek R. Hower, Pablo Montesinos, Luis Ceze, Mark D. Hill, Josep TorrellasCommunications of the ACM - One Laptop Per Child: Vision vs. Reality, 2009
Local copy: pdf
(Summarizes "Rerun"
and "DeLorean" proposals from ISCA '08 for wider CACM audience.)
Technical Introduction by Jouppi
-
Opportunities beyond single-core microprocessorsMark D. HillPPoPP '09 Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming, 2009
Local copy: pdf
-
Notary: Hardware Techniques to Enhance Signatures,
Luke Yen, Stark C. Draper, and Mark D. Hill
41st International Symposium on Microarchitecture (MICRO),
November 2008.
Local copy: pdf
Talk: ppt
-
Is Transactional Memory an Oxymoron?,
Mark D. Hill
Very Large Data Bases (VLDB)
August 2008.
Keynote Talk: ppt
-
Amdahl's Law in the Multicore Era,
Mark D. Hill and Michael R. Marty,
IEEE Computer, July 2008.
Local copy: pdf
Supplementary Website: http://www.cs.wisc.edu/multifacet/amdahl/
Related Talk Video: Google TechTalk 02/2009 (52 minutes)
Related Talk Slides: pptx 01/2010
Selected as an influential paper from the first 50 years of Computer.
See others: https://www.computer.org/computer-magazine/from-the-archives-computers-legacy/
2017 Retrospective: pdf
-
Rerun: Exploiting Episodes for Lightweight Memory Race RecordingDerek R. Hower, Mark D. HillISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture, 2008
Local copy: pdf
Talk: pptx
ppt
Recommended to CACM for wider audience: pdf
-
TokenTM: Efficient Execution of Large Transactions with Hardware Transactional MemoryJayaram Bobba, Neelam Goyal, Mark D. Hill, Michael M. Swift, David A. WoodISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture, 2008
Local copy: pdf
Talk: ppt
-
Computer system implementing synchronized broadcast using timestamps,
Robert E. Cypher,
David Wood A.,
Mark D. Hill,
and Thomas M. Wicki,
United States Patent 7,366,843, issued April 29, 2008.
- OS Support for Virtualizing Transactional Memory,
Michael M. Swift, Haris Volos, Neelam Goyal, Luke Yen, Mark D. Hill and David A Wood
Third ACM SIGPLAN Workshop on Transactional Memory (TRANSACT), February 2008.
Local copy: pdf
Talk: ppt
Also appears as Univ. of Wisconsin Computer Sciences Technical Report CS-TR-2008-1630,
February 2008.
- Performance Pathologies in Hardware Transactional Memory,
Jayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, and David A. Wood
IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences, January-February 2008.
(Shorter, award version of ISCA 2007 Paper)
-
Virtual Hierarchies,
Michael R. Marty and Mark D. Hill,
IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences,
January-February 2008.
Local copy: pdf
(Shorter, award version of ISCA 2007 Paper)
-
Single-Threaded vs. Multithreaded: Where Should We Focus?,
Joel Emer, Mark D. Hill, Yale N. Patt,
Joshua J, Yi, Derek Chiou, and Resit Sedag
IEEE Micro Special Issue: Computer Architecture Debates,
November-December 2007.
Local copy: pdf
-
Implementing Signatures for Transactional Memory,
Daniel Sanchez, Luke Yen, Mark D. Hill, and Karthikeyan Sankaralingam
40th International Symposium on Microarchitecture (MICRO),
December 2007.
Local copy: pdf
Talk: ppt
-
Performance pathologies in hardware transactional memoryJayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, David A. WoodISCA '07 Proceedings of the 34th annual international symposium on Computer architecture, 2007
Local copy: pdf
Talk: ppt
Extended Talk: ppt
-
Virtual hierarchies to support server consolidationMichael R. Marty, Mark D. HillISCA '07 Proceedings of the 34th annual international symposium on Computer architecture, 2007
Local copy: pdf
Talk: ppt
-
A Case for Deconstructing Hardware Transactional Memory Systems,
Mark D. Hill, Derek Hower, Kevin E. Moore, Michael M. Swift, Haris Volos and David A. Wood
Univ. of Wisconsin Computer Sciences Technical Report CS-TR-2007-1594,
June 2007.
Local copy: pdf
Also appears as
Dagstuhl Seminar Proceedings 07361, editors Albert Cohen, Maria J. Garzaran, Christian Lengauer, and Samuel P. Midkiff,
2008.
-
System and method for enhancing communication between devices in a computer system,
David Wood,
Robert C. Zak, Jr.,
Monica Wong-Chan,
Christopher J. Jackson,
Thomas P. Webber,
and Mark D. Hill,
United States Patent 7,225,383, issued May 29, 2007.
- Log-based Transactional Memory,
Overview Talk, April 2007.
- LogTM-SE: Decoupling Hardware Transactional Memory from Caches,
Luke Yen, Jayaram Bobba, Michael R. Marty, Kevin E. Moore, Haris Volos, Mark D. Hill, Michael M. Swift, and David A. Wood
International Symposium on High Performance Computer Architecture (HPCA), February 2007.
Local copy: pdf
Talk: ppt,
pdf
-
A Hardware Memory Race Recorder for Deterministic Replay,
Min Xu, Rastislav Bodik, and Mark D. Hill,
IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences,
January-February 2007.
(Summarizes ``Flight Data Recorder'' work from ISCA 2003 and
ASPLOS 2006 papers).
Local copy: pdf
Talk: ppt (based on Xu's 2006 Ph.D. Defense)
-
Coherence Ordering for Ring-based Chip Multiprocessors,
Michael R. Marty and Mark D. Hill,
39th International Symposium on Microarchitecture (MICRO),
December 2006.
Local copy: pdf
Talk: ppt
-
A regulated transitive reduction (RTR) for longer memory race recordingMin Xu, Mark D. Hill, Rastislav BodikASPLOS XII Proceedings of the 12th international conference on Architectural support for programming languages and operating systems, 2006
Local copy:
pdf
Talk:
pdf,
ppt
Top Picks 2007 follow-on
-
Supporting nested transactional memory in logTMMichelle J. Moravan, Jayaram Bobba, Kevin E. Moore, Luke Yen, Mark D. Hill, Ben Liblit, Michael M. Swift, David A. WoodASPLOS XII Proceedings of the 12th international conference on Architectural support for programming languages and operating systems, 2006
Local copy:
pdf
Talk:
pdf,
ppt
-
A Wiki for Discussing and Promoting Best Practices in Research,
Mark D. Hill, Jean-Luc Gaudiot, Mary Hall, Joe Marks, Paolo Prinetto,
and Donna Baglio,
Communications of the ACM (CACM), September 2006.
Paper: pdf
Reference:
ACM
Wiki: http://wiki.acm.org/healthcc/
- LogTM: Log-based Transactional Memory,
Kevin E. Moore, Jayaram Bobba, Michelle J. Moravan, Mark D. Hill and David A. Wood
International Symposium on High Performance Computer Architecture (HPCA), February 2006.
Local copy: pdf
Talk: ppt,
pdf
-
Computer system implementing synchronized broadcast using skew control and queuing,
Robert E. Cypher, Mark D. Hill, and David A. Wood,
United States Patent 7,136,980 issued November 14, 2006.
-
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolsetMilo M. K. Martin, Daniel J. Sorin, Bradford M. Beckmann, Michael R. Marty, Min Xu, Alaa R. Alameldeen, Kevin E. Moore, Mark D. Hill, David A. WoodACM SIGARCH Computer Architecture News - Special issue: dasCMP'05, 2005
Local copy: pdf
Web Site: http://www.cs.wisc.edu/gems
ISCA Tutorial Slides: ppt
-
A serializability violation detector for shared-memory server programsMin Xu, Rastislav Bodík, Mark D. HillACM SIGPLAN Notices - Proceedings of the 2005 ACM SIGPLAN conference on Programming language design and implementation, 2005
Local copy: pdf
Talk: pdf,
ppt
-
Thread-Level Transactional Memory,
Kevin E. Moore, Mark D. Hill, and David A. Wood,
Univ. of Wisconsin Computer Sciences Technical Report CS-TR-2005-1524,
March 2005.
Local copy: pdf
- Improving Multiple-CMP Systems Using Token Coherence,
Michael R. Marty, Jesse D. Bingham, Mark D. Hill, Alan J. Hu, Milo M.K. Martin and David A. Wood,
International Symposium on High Performance Computer Architecture (HPCA), February 2005.
Local copy: pdf
Talk: ppt
Extended Talk: ppt
-
Token based cache-coherence protocol,
Milo M. Martin, Mark D. Hill, and David A. Wood,,
United States Patent 6,981,097 issued December 27, 2005.
-
Bandwidth-adaptive, hybrid, cache-coherence protocol,
Milo M. Martin, Daniel J. Sorin, Mark D. Hill, and David A. Wood,,
United States Patent 6,883,070 issued April 19, 2005.
-
Interaction Cost: For When Event Counts Just Don't Add Up,
Brian A. Fields, Rastislav Bodik, Mark D. Hill, and Chris J. Newburn,
IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences,
November-December 2004.
Local copy: pdf
Expanded TACO 2004 Journal Version
-
Future Computer Advances are Between a Rock (Slow Memory)
and a Hard Place (Multithreading)
(Talk to CSTB and US government agencies),
Mark D. Hill,
The National Academies's Computer Science and Telecommunications Board (CSTB) Meeting,
October 2004.
Talk: ppt
-
Computer Science:
Reflections on the Field, Reflections from the Field,
Mary Shaw, et al.,
The National Academy Press, 2011
Online Book: html
Chapter 2a: Harnessing Moore's Law,
Mark D. Hill
Online Chapter: html
-
Interaction cost and shotgun profilingBrian A. Fields, Rastislav Bodik, Mark D. Hill, Chris J. NewburnACM Transactions on Architecture and Code Optimization (TACO), 2004
Local copy: pdf
Original Micro 2003 Conference Version: pdf
-
A Future of Parallel Computer Architectures
(Keynote talk to parallel software researchers),
Mark D. Hill,
International Conference on Parallel Processing (ICPP), August 2004.
Talk: ppt
-
Using Speculation to Simplify Multiprocessor Design,
Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, and David A. Wood,
International Parallel and Distributed Processing Symposium (IPDPS),
April 2004.
Local copy: pdf
Talk: ppt
-
Evaluating a $2M Commercial Server on a $2K PC and Related Challenges (Invited Talk),
Mark D. Hill,
Workshop On Computer Architecture Evaluation using Commercial Workloads (CAECW), February 2004.
Talk Abstract: pdf
Talk:
ppt
-
Hierarchical SMP computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,826,660 issued November 30, 2004.
-
Method and device for a context-based memory management system,
Borus Ostrovsky,
Daniel R. Cassiday,
John R. Feehrer,
David A. Wood,
Pazhani Pillai,
Christopher J. Jackson,
Mark D. Hill,
United States Patent 6,826,671 issued November 30, 2004.
-
Token Coherence: A New Framework for Shared-Memory Multiprocessors,
Milo M.K. Martin, Mark D. Hill and David A. Wood,
IEEE Micro Special Issue: Micro's Top Picks from Microarchitecture Conferences,
November-December 2003.
Local copy: pdf
Original ISCA 2003 Paper: pdf
Token Coherence Bibliography: html
-
Using Interaction Costs for Microarchitectural Bottleneck Analysis,
Brian A. Fields, Rastislav Bodik, Mark D. Hill, and Chris J. Newburn,
36th International Symposium on Microarchitecture (MICRO),
December 2003.
Local copy: pdf
Talk: ppt
Expanded TACO 2004 Journal Version: pdf
-
Challenges in Computer Architecture Evaluation,
Kevin Skadron, Margaret Martonosi, David I. August, Mark D. Hill, David
J. Lilja, and Vijay S. Pai.
IEEE Computer, August 2003.
Local copy: pdf
-
Revisiting "Multiprocessors Should Support Simple Memory
Consistency Models"
(Talk to software memory consistency model researchers),
Mark D. Hill,
Dagstuhl Seminar 03431
on Hardware and Software Consistency Models: Programmability and Performance,
October 2003.
Abstract: txt
Talk: ppt
Original 1998 Paper: pdf
-
Token coherence: decoupling performance and correctnessMilo M. K. Martin, Mark D. Hill, David A. WoodISCA '03 Proceedings of the 30th annual international symposium on Computer architecture, 2003
Local copy: pdf
Talk: pdf,
ppt
Shorter IEEE Micro Top Picks Paper: pdf
Token Coherence Bibliography: html
-
Using destination-set prediction to improve the latency/bandwidth tradeoff in shared-memory multiprocessorsMilo M. K. Martin, Pacia J. Harper, Daniel J. Sorin, Mark D. Hill, David A. WoodISCA '03 Proceedings of the 30th annual international symposium on Computer architecture, 2003
Local copy: pdf
Talk: pdf,
ppt
-
A "flight data recorder" for enabling full-system multiprocessor deterministic replayMin Xu, Rastislav Bodik, Mark D. HillISCA '03 Proceedings of the 30th annual international symposium on Computer architecture, 2003
Local copy: pdf
Talk: pdf,
ppt
Top Picks 2007 follow-on: pdf
-
Dynamic Verification of End-to-End Multiprocessor Invariants,
Daniel J. Sorin, Mark D. Hill, and David A. Wood,
International Conference on Dependable Systems and Networks (DSN, formerly FTCC), June 2003.
Local copy: pdf
Talk: ppt
-
Simulating a $2M Commercial Server on a $2K PC,
Alaa R. Alameldeen, Milo M.K. Martin, Carl J. Mauer, Kevin E. Moore, Min Xu, Daniel J. Sorin, Mark D. Hill and David A. Wood,
IEEE Computer, February 2003.
Local copy: pdf
Talk: ppt
-
Skewed finite hashing function,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,654,866 issued November 25, 2003.
-
Repeater for use in a shared memory computing system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,578,071 issued June 10, 2003.
-
Methods and apparatus for a directory-less memory access protocol
in a distributed shared memory computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,574,659 issued June 3, 2003.
-
Data Page Layouts for Relational Databases on Deep Memory Hierarchies,
Anastassia Ailamaki, David J. DeWitt, and Mark D. Hill,
The VLDB Journal, 11(3), 2002.
Local copy: pdf
-
Full-system timing-first simulationCarl J. Mauer, Mark D. Hill, David A. WoodSIGMETRICS '02 Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, 2002
Local copy: pdf
Talk: pdf and
ppt
-
Harnessing Moore's Law
(Talk to computer science undergraduates),
Mark D. Hill,
Several venues, 2002-03.
Abstract: txt
Talk: ppt
-
SafetyNet: improving the availability of shared memory multiprocessors with global checkpoint/recoveryDaniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. WoodACM SIGARCH Computer Architecture News - Special Issue: Proceedings of the 29th annual international symposium on Computer architecture (ISCA '02), 2002
Local copy: pdf
Talk: ppt
-
Slack: maximizing performance under technological constraintsBrian Fields, Rastislav Bodík, Mark D. HillACM SIGARCH Computer Architecture News - Special Issue: Proceedings of the 29th annual international symposium on Computer architecture (ISCA '02), 2002
Local copy: pdf
Talk: ppt
-
Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol,
Daniel J. Sorin, Manoj Plakal, Anne E. Condon, Mark D. Hill, Milo M. K. Martin and David A. Wood,
IEEE Transactions on Parallel and Distributed Systems, June 2002 (vol 13, number 6).
(Previously available as Dept. of Computer Sciences Technical Report
CS-TR-2000-1412, March 2000.)
Local copy: pdf
Online protocol examples in html
-
Bandwidth Adaptive Snooping,
Milo M. K. Martin, Daniel J. Sorin, Mark D. Hill, and David A. Wood,
8th International Symposium on High Performance Computer Architecture (HPCA),
February 2002.
Local copy: pdf
Talk: pdf and
ppt
-
Evaluating Non-deterministic Multi-threaded Commercial Workloads,
Alaa R. Alameldeen, Carl J. Mauer, Min Xu, Pacia J. Harper, Milo M.K. Martin, Daniel J. Sorin, Mark D. Hill and David A. Wood,
Workshop On Computer Architecture Evaluation using Commercial Workloads (CAECW), February 2002.
Local copy: pdf
Talk: pdf and
ppt
-
Hybrid memory access protocol in a distributed shared memory computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,496,854 issued December 17, 2002.
-
Methods and apparatus for a directory-less memory access protocol in a distributed shared memory computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,377,980 issued April 23, 2002.
-
Correctly Implementing Value Prediction in Microprocessors that Support Multithreading or
Multiprocessing,
Milo M. K. Martin, Daniel J. Sorin, Harold W. Cain, Mark D. Hill, and Mikko H. Lipasti,
34th International Symposium on Microarchitecture (MICRO),
December 2001.
Local copy: pdf
Talk: pdf and
ppt
-
Weaving Relations for Cache Performance,
Anastassia G. Ailamaki, David J. DeWitt, Mark D. Hill, and Marios Skounakis,
International Conference on Very Large Databases (VLDB), 2001.
Received VLDB 2001 Best Paper Award
Local copy: pdf
Talk: ppt
-
Facile: a language and compiler for high-performance processor simulatorsEric C. Schnarr, Mark D. Hill, James R. LarusPLDI '01 Proceedings of the ACM SIGPLAN 2001 conference on Programming language design and implementation, 2001
Local copy: pdf
-
Cache performance for selected SPEC CPU2000 benchmarksJason F. Cantin, Mark D. HillACM SIGARCH Computer Architecture News, 2001
Local copy: pdf
-
Skewed finite hashing function,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,308,246 issued October 23, 2001.
-
Hybrid memory access protocol in a distributed shared memory computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,243,742 issued June 5, 2001.
Also European Union Patent 0818732 and Japanese Patent 10177518.
-
Shared memory system for symmetric multiprocessor systems,
Erik E. Hagersten and Mark D. Hill,
United States Patent 6,226,671 issued May 1, 2001.
- Readings
in Computer Architecture,
Mark D. Hill, Norman P. Jouppi, and Gurindar S. Sohi,
Morgan Kaufmann Publishers (now Elsevier), ISBN 1-55860-539-8, 2000.
Near-final versions of:
Preface:
pdf
and
ps
Table of Contents:
pdf
and
ps
Web Component:
html or
html mirror
-
Making Pointer-Based Data Structures Cache Conscious,
Trishul M. Chilimbi, Mark D. Hill, and James R. Larus,
IEEE Computer, December 2000.
Local copy: pdf
-
How computer architecture trends may affect future distributed systems: from infiniBand clusters to inter-processor speculation (abstract)Mark D. HillPODC '00 Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing, 2000
Local copy: pdf
Talk: ppt
-
Fast and Portable Parallel Architecture Simulators: Wisconsin Wind Tunnel II,
Shubhendu S. Mukherjee, Steven K. Reinhardt, Babak Falsafi, Mike
Litzkow, Steven Huss-Lederman, Mark D. Hill, James R. Larus, and David
A. Wood,
IEEE Concurrency, October-December 2000.
Local copy: pdf
-
Timestamp snooping: an approach for extending SMPsMilo M. K. Martin, Daniel J. Sorin, Anatassia Ailamaki, Alaa R. Alameldeen, Ross M. Dickson, Carl J. Mauer, Kevin E. Moore, Manoj Plakal, Mark D. Hill, David A. WoodASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems, 2000
Local copy: pdf
Talk: pdf
-
Fast Checkpoint/Recovery to Support Kilo-Instruction Speculation and Hardware Fault Tolerance,
Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, and David A. Wood,
Dept. of Computer Sciences Technical Report CS-TR-2000-1420, October 2000.
Local copy: pdf
and ps
-
Exploiting Market Realities To Address National Security's
High-Performance Computing Needs,
Mark D. Hill,
In
Defense Science Study Group 1998-1999, Volume 1: Papers 1-13,
Institute for Defense Analysis (IDA) Paper P-3531, 2000.
Technical Report: pdf
Talk: ppt
-
Formation of a National Entity for Information Infrastructure Protection,
Geoffrey C. Orsak, Mark D. Hill, and Robin R. Murphy,
In
Defense Science Study Group 1998-1999, Volume 1: Papers 1-13,
Institute for Defense Analysis (IDA) Paper P-3531, 2000.
Technical Report: pdf
-
DBMSs on a modern processor: Where does time go?,
Anastassia Ailamaki, David J. DeWitt, Mark D. Hill, and David A. Wood,
International Conference on Very Large Databases (VLDB), September 1999.
Local copy: pdf
and ps
Talk: ppt
-
A system-level specification framework for I/O architecturesMark D. Hill, Anne E. Condon, Manoj Plakal, Daniel J. SorinSPAA '99 Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures, 1999
Local copy: pdf
Talk:
pdf and
ps
Technical report: Univ. of Wisconsin Computer Sciences Technical Report CS-TR-1999-1398, 1999.
Technical report local copy: pdf
and ps
-
Multicast snooping: a new coherence method using a multicast address networkE. Ender Bilir, Ross M. Dickson, Ying Hu, Manoj Plakal, Daniel J. Sorin, Mark D. Hill, David A. WoodISCA '99 Proceedings of the 26th annual international symposium on Computer architecture, 1999
Local copy: pdf
Talk:
pdf and
ps
-
Cache-conscious structure layoutTrishul M. Chilimbi, Mark D. Hill, James R. LarusPLDI '99 Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation, 1999
Local copy: pdf
-
Using Lamport Clocks to Reason About Relaxed Memory Models,
Anne E. Condon, Mark D. Hill, Manoj Plakal and Daniel J. Sorin,
International Symposium on High-Performance Computer Architecture (HPCA), January 1999.
Local copy: pdf
Talk:
pdf and
ps
-
Cachable interface control registers for high speed data transfer,
David A. Wood, Steven K. Reinhardt, Shubhendu S. Mukherjee, Babak Falsafi,
Mark D. Hill, and Robert W. Pfile,
United States Patent 5,951,657 issued September 14, 1999.
-
Methods and apparatus for substantially memory-less coherence
transformer for connecting computer node coherence domains,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,940,860 issued August 17, 1999.
Also European Union Patent 0817068 and Japanese Patent 11003277.
-
Split-SMP computer system configured to operate in a protected mode having
repeater which inhibits transaction to local address partition,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,923,847 issued July 13, 1999.
Also European Union Patent 0817094 and Japanese Patent 10187646.
-
Skip-level write-through in a multi-level memory
of a computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,903,907 issued May 11, 1999.
Also European Union Patent 0817079 and Japanese Patent 11003280.
-
Efficient allocation of cache memory space in a computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,893,150 issued April 6, 1999.
Also European Union Patent 0817078 and Japanese Patent 10214229.
-
Multiprocessor system configured to detect and efficiently provide for migratory data access patterns,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,734,922 issued March 31, 1999.
-
Method and apparatus for a directory-less memory access protocol in
a distributed shared memory computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,873,117 issued February 16, 1999.
Also European Union Patent 0817067 and Japanese Patent 10134009.
-
Hybrid memory access protocol for servicing memory access request by
ascertaining whether the memory block is currently cached in determining
which protocols to be used,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,864,671 issued January 26, 1999.
-
Hierarchical SMP computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,862,357 issued January 19, 1999.
Also European Union Patent 0817060 and Japanese Patent 10187630.
-
Methods and apparatus for a coherence transformer for connecting
computer system coherence domains,
Erik E. Hagersten, Mark D. Hill, and David A. Wood,
United States Patent 5,860,109 issued January 12, 1999.
Also European Union Patent 0817065 and Japanese Patent 10214222.
-
Guest Editor's Introduction:
Design Challenges for High-Performance Network Interfaces
Andrew A. Chien, Mark D. Hill, and Shubhendu S. Mukherjee,
IEEE Computer, November 1998.
Paper: pdf.
-
Making Network Interfaces Less Peripheral,
Shubhendu S. Mukherjee and Mark D. Hill,
IEEE Computer, October 1998.
Paper: pdf.
-
Sirocco: Cost-Effective Fine-Grain Distributed Shared Memory,
Ioannis Schoinas, Babak Falsafi, Mark D. Hill,
James R. Larus, and David A. Wood,
International Conference on Parallel Architectures and
Compilation Techniques (PACT 98), October 1998.
Paper: pdf
-
A Retrospective on "Weak Ordering -- A New Definition,"
Sarita V. Adve and Mark D. Hill,
Selected Papers from the First 25
International Symposia on Computer Architecture
(Gurindar S. Sohi, editor, ACM Press), 1998.
Paper: pdf
Reference:
ACM
- Multiprocessors Should Support Simple Memory Consistency Models,
Mark D. Hill,
IEEE Computer, August 1998.
Local copy: pdf
2003 Dagstuhl Retrospective Talk:
ppt
-
Lamport clocks: verifying a directory cache-coherence protocolManoj Plakal, Daniel J. Sorin, Anne E. Condon, Mark D. HillSPAA '98 Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures, 1998
Local copy: pdf
Talk:
pdf and
ps
-
Using Prediction to Accelerate Coherence Protocols,
Shubhendu S. Mukherjee and Mark D. Hill,
International Symposium on Computer Architecture (ISCA), 1998.
Paper: pdf.
Reference:
ACM
-
The Impact of Data Transfer and Buffering Alternatives on
Network Interface Design,
Shubhendu S. Mukherjee and Mark D. Hill,
International Symposium on High-Performance Computer Architecture (HPCA), 1998.
Paper: pdf
-
Address Translation Mechanisms in Network Interfaces,
Ioannis Schoinas and Mark D. Hill,
International Symposium on High-Performance Computer Architecture (HPCA), 1998.
Paper: pdf
-
Methods and apparatus for sharing stored data objects in a computer system,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,835,906 issued November 10, 1998.
Also European Union Patent 0817040 and Japanese Patent 10187527.
-
Methods and apparatus for a coherence transformer with limited memory
for connecting computer system coherence domains,
Erik E. Hagersten, Mark D. Hill, and David A. Wood,
United States Patent 5,829,034 issued October 27, 1998.
Also European Union Patent 0817069 and Japanese Patent 10187633.
-
Efficient storage of data in computer systmes with multiple cache levels,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,802,563 issued September 1, 1998.
Also European Union Patent 0817080 and Japanese Patent 10214224.
-
Extended symmetrical multiprocessor address mapping,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,796,605 issued August 18, 1998.
(Hill was officially added as a co-inventor on June 27, 1998, correcting a
filing error.)
-
Extended symmetrical multiprocessor architecture,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,754,877 issued May 19, 1998.
Also European Union Patent 0817092 and Japanese Patent 10097513.
-
Multiprocessing system configured to detect and efficiently provide
for migratory data access patterns,
Erik E. Hagersten and Mark D. Hill,
United States Patent 5,734,922 issued March 31, 1998.
Also European Union Patent 0817071 and Japanese Patent 10143483.
-
Relaxed Consistency and Coherence Granularity in DSM Systems:
A Performance Evaluation,
Yuanyuan Zhou, Liviu Iftode, Jaswinder Pal Singh, Kai Li, Brian
R. Toonen, Ioannis Schoinas, Mark D. Hill, and David A. Wood,
SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP),
June 1997.
Paper: pdf.
Reference:
ACM
-
Parallel Computer Research in the Wisconsin Wind Tunnel Project,
Mark D. Hill, James R. Larus, and David A. Wood,
NSF Conference on Experimental Research in Computer Systems, June 1996.
Paper: pdf
-
Bidirectional Technology Transfer: Sabbaticals in Industry,
Mark D. Hill,
NSF Conference on Experimental Research in Computer Systems, June 1996.
Reprinted in Computing Research News, November 1997.
Paper: pdf
-
Coherent Network Interfaces for Fine-Grain Communication,
Shubhendu S. Mukherjee,
Babak Falsafi,
Mark D. Hill, and
David A. Wood,
International Symposium on Computer Architecture (ISCA), 1996.
Paper: pdf.
Reference:
ACM
-
Optimistic Simulation of Parallel Architectures Using Program Executables,
Sashikanth Chandrasekaran and Mark D. Hill,
Workshop on Parallel and Distributed Simulation (PADS), May 1996.
Paper: pdf.
Reference:
ACM
-
A New Page Table for 64-bit Address Spaces,
Madhusudhan Talluri, Mark D. Hill, and Yousef A. Khalidi,
Symposium on Operating Systems Principals (SOSP),
December 1995.
Paper: pdf
-
Presidential Young Investigator Award Final Report,
Mark D. Hill,
July 1995.
Paper: pdf
-
Efficient Support for Irregular Applications on Distributed-Memory Machines,
Shubhendu S. Mukherjee, Shamik D. Sharma, Mark D. Hill, James R. Larus,
Anne Rogers, and Joel Saltz,
SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP),
July 1995.
Paper: pdf.
Reference:
ACM
-
Cost-Effective Parallel Computing,
David A. Wood and Mark D. Hill,
IEEE Computer, February 1995.
Paper: final scanned pdf
and near-final latex pdf.
-
Solving Microstructure Electrostatics on a Proposed Parallel Computer,
Frank Traenkle, Mark D. Hill, and Sangtae Kim,
Computers and Chemical Engineering, 1995.
Paper: pdf
-
Application-Specific Protocols for User-Level Shared Memory,
Babak Falsafi, Alvin R. Lebeck, Steven K. Reinhardt, Ioannis Schoinas,
Mark D. Hill James R. Larus, Anne Rogers, and David A. Wood,
Supercomputing '94, Nov. 1994.
Paper: pdf
Reference:
ACM
-
Surpassing the TLB Performance of Superpages with Less Operating System Support,
Madhusudhan Talluri and Mark D. Hill,
International Conference on Architectural Support for
Programming Languages and Operating Systems (ASPLOS),
October 1994.
Paper: pdf
Reference:
ACM
-
An Evaluation of Directory Protocols for Medium-Scale Shared-Memory
Multiprocessors,
Shubhendu S. Mukherjee and Mark D. Hill,
International Conference on Supercomputing (ICS), July 1994.
Paper: pdf
Reference:
ACM
-
A Comparison of Trace-Sampling Techniques for Multi-Megabyte Caches,
R. E. Kessler, Mark D. Hill, and David A. Wood,
IEEE Transactions on Computers, June 1994.
Paper: pdf
-
Cooperative Shared Memory: Software and Hardware for Scalable Multiprocessors,
Mark D. Hill, James R. Larus, Steven K. Reinhardt, and David A. Wood,
ACM Transactions on Computer Systems (TOCS), November 1993.
Updated version of ASPLOS 1992 paper.
Paper: final scanned pdf
and near-final latex pdf.
Reference:
ACM
-
Wisconsin Architectural Research Tool Set (WARTS),
Mark D. Hill, James R. Larus, Alvin R. Lebeck, Madhusudhan Talluri,
and David A. Wood,
Computer Architecture News (CAN), August 1993.
Web Site: pdf
Paper: pdf
Reference:
ACM
-
Cache Performance of the SPEC92 Benchmark Suite,
Jeffrey D. Gee, Mark D. Hill, Dionisios N. Pnevmatikatos, and Alan Jay Smith,
IEEE Micro, August 1993.
Paper: pdf
Reference:
ACM
-
A Unified Formalization of Four Shared-Memory Models,
Sarita V. Adve and Mark D. Hill,
IEEE Transactions on Parallel and Distributed Systems (TPDS), June 1993.
Paper: final scanned pdf
and near-final troff pdf
-
Performance Implications of Tolerating Cache Faults,
Andreas Farid Pour and Mark D. Hill,
IEEE Transactions on Computers (TOC), March 1993.
Paper: final scanned pdf
and near-final troff pdf
-
Mechanisms for Cooperative Shared Memory,
David A. Wood, Satish Chandra, Babak Falsafi, Mark D. Hill, James R. Larus,
Alvin R. Lebeck, James C. Lewis, Shubhendu S. Mukherjee, Subbarao Palacharla,
and Steven K. Reinhardt,
International Symposium on Computer Architecture (ISCA), May 1993.
Paper: pdf
Reference:
ACM
-
The Wisconsin Wind Tunnel: Virtual Prototyping of Parallel Computers,
Steven K. Reinhardt, Mark D. Hill, James R. Larus, Alvin R. Lebeck,
James C. Lewis, and David A. Wood,
ACM SIGMETRICS, May 1993.
Paper: pdf
Reference:
ACM
-
Page Placement Algorithms for Large Real-Index Caches,
R. E. Kessler and Mark D. Hill,
ACM Transactions on Computer Systems, November 1992.
Paper: final scanned pdf
and near-final troff pdf
Reference:
ACM
-
Cooperative Shared Memory: Software and Hardware for Scalable Multiprocessors,
Mark D. Hill, James R. Larus, Steven K. Reinhardt, and David A. Wood,
International Conference on Architectural Support for
Programming Languages and Operating Systems (ASPLOS),
October 1992.
Recommended for ACM Transactions on Computer Systems (TOCS), November 1993.
Paper: pdf
and updated scanned pdf
Reference:
ACM
-
Programming for Different Memory Consistency Models,
Kourosh Gharachorloo, Sarita V. Adve, Anoop Gupta,
John L. Hennessy, and Mark D. Hill,
Journal of Parallel and Distributed Computing, August 1992.
Paper: pdf
-
Tradeoffs in Supporting Two Page Sizes,
Madhusudhan Talluri, Shing Kong, Mark D. Hill, and David A. Patterson,
International Symposium on Computer Architecture (ISCA), May 1992.
Paper: pdf
Reference:
ACM
-
Detecting Data Races on Weak Memory Systems,
Sarita V. Adve, Mark D. Hill, Barton P. Miller, and Robert H. B. Netzer,
International Symposium on Computer Architecture (ISCA), June 1991.
Paper: pdf
Reference:
ACM
-
Comparison of Hardware and Software Cache Coherence Schemes,
Sarita V. Adve, Vikram S. Adve, Mark D. Hill, and Mary K. Vernon,
International Symposium on Computer Architecture (ISCA), June 1991.
Paper: pdf
Reference:
ACM
-
A Model for Estimating Trace-Sample Miss Ratios,
David A. Wood, Mark D. Hill, and R. E. Kessler
ACM SIGMETRICS, May 1991.
Paper: pdf
Reference:
ACM
-
Implementing Stack Simulation for Highly-Associative Memories (extended abstract)
Yul H. Kim, Mark D. Hill, and David A. Wood,
ACM SIGMETRICS, May 1991.
Paper: pdf
-
Implementing Sequential Consistency In Cache-Based Systems,
Sarita V. Adve and Mark D. Hill,
International Conference on Parallel Processing, August 1990.
Paper: pdf
-
Weak Ordering - A New Definition,
Sarita V. Adve and Mark D. Hill,
International Symposium on Computer Architecture (ISCA), June 1990.
Paper: pdf.
-
Cache Considerations for Multiprocessor Programmers,
Mark D. Hill and James R. Larus,
Communications of the ACM (CACM), August 1990.
Paper: scanned pdf
(3.2 MB for a scan of 6 pages!).
Reference:
ACM
-
What is Scalability?,
Mark D. Hill
ACM SIGARCH Computer Architecture News, December 1990.
Paper: pdf
Reference:
ACM
-
Cache performance of the integer SPEC benchmarks on a RISC,
Mark D. Hill and Dionisios N. Pnevmatikatos
ACM SIGARCH Computer Architecture News, June 1990.
Paper: pdf
Reference:
ACM
-
Evaluating Associativity in CPU Caches,
Mark D. Hill and Alan Jay Smith,
IEEE Transactions on Computers (TOC), December 1989.
Paper: scanned pdf.
-
A VLSI Chip Set for a Multiprocessor Workstation - Part I: A RISC
Microprocessor with Coprocessor Interface
and Support for Symbolic Processing,
David D. Lee, Shing I. Kong, Mark D. Hill, George S. Taylor, David A. Hodges,
Randy H. Katz, and David A. Patterson,
IEEE Journal of Solid State Circuits (JSSC), December 1989.
Paper: scanned pdf.
-
Inexpensive Implementations of Set-Associativity,
R. E. Kessler, Richard Jooss, Alvin Lebeck, and Mark D. Hill,
International Symposium on Computer Architecture (ISCA), June 1989.
Paper: pdf
-
Test Driving Your Next Cache,
Mark D. Hill,
Magazine of Intellignet Personal Systems (MIPS), August 1989.
Paper: scanned pdf
-
Design Decisions in SPUR,
M. D. Hill, S. J. Eggers, J. R. Larus, G. S. Taylor, G. Adams,
B. K. Bose, G. A. Gibson, P. M. Hansen, J. Keller, S. I. Kong,
C. G. Lee, D. Lee, J. M. Pendleton, S. A. Ritchie, D. A. Wood,
B. G. Zorn, P. N. Hilfinger, D. Hodges, R. H. Katz, J. Ousterhout,
and D. A. Patterson,
IEEE Computer, November 1986.
Paper: scanned pdf
-
An In-Cache Address Translation Mechanism,
David A. Wood, Susan J. Eggers, Garth Gibson, Mark D. Hill,
Joan Pendleton, Scott A. Ritchie, Randy H. Katz, and David A. Patterson,
International Symposium on Computer Architecture (ISCA), June 1986.
Paper: scanned pdf
-
Evaluation of On-Chip Cache Memories,
Mark D. Hill,
Masters Report,
University of California, Berkeley,
December 8, 1983.
Thesis:
scanned pdf
(5 MB)
-
Architecture of a VLSI Instruction Cache,
David A. Patterson, Phil Garrison, Mark D. Hill, Dimitris Lioupis,
Chris Nyberg, Tim N. Sippel, and Korbin S. Van Dyke,
International Symposium on Computer Architecture (ISCA), June 1983.
Paper: scanned pdf.
|